๐Ÿ“ฆ furkan / fakequidditch

๐Ÿ“„ prev_cmp_fakequidditch.qmsg ยท 364 lines
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" {  } {  } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1559331120325 ""}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition " "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" {  } {  } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1559331120326 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri May 31 22:32:00 2019 " "Processing started: Fri May 31 22:32:00 2019" {  } {  } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1559331120326 ""}  } {  } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1559331120326 ""}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off fakequidditch -c fakequidditch " "Command: quartus_map --read_settings_files=on --write_settings_files=off fakequidditch -c fakequidditch" {  } {  } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1559331120326 ""}
{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS_MORE_LOGICAL" "2 2 4 " "Parallel Compilation has detected 4 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 2 of the 2 physical processors detected instead." {  } {  } 0 11104 "Parallel Compilation has detected %3!i! hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use %1!i! of the %2!i! physical processors detected instead." 0 0 "Quartus II" 0 -1 1559331120842 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "fakequidditch.v 1 1 " "Found 1 design units, including 1 entities, in source file fakequidditch.v" { { "Info" "ISGN_ENTITY_NAME" "1 fakequidditch " "Found entity 1: fakequidditch" {  } { { "fakequidditch.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/fakequidditch.v" 1 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1559331120891 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1559331120891 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "vga_controller/vga_controller.v 1 1 " "Found 1 design units, including 1 entities, in source file vga_controller/vga_controller.v" { { "Info" "ISGN_ENTITY_NAME" "1 vga_controller " "Found entity 1: vga_controller" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 1 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1559331120895 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1559331120895 ""}
{ "Warning" "WVRFX_VERI_EXTRA_SLASH_STAR" "game_controller.v(87) " "Verilog HDL syntax warning at game_controller.v(87): extra block comment delimiter characters /* within block comment" {  } { { "game_controller/game_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/game_controller.v" 87 0 0 } }  } 0 10090 "Verilog HDL syntax warning at %1!s!: extra block comment delimiter characters /* within block comment" 0 0 "Quartus II" 0 -1 1559331120898 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "game_controller/game_controller.v 1 1 " "Found 1 design units, including 1 entities, in source file game_controller/game_controller.v" { { "Info" "ISGN_ENTITY_NAME" "1 game_controller " "Found entity 1: game_controller" {  } { { "game_controller/game_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/game_controller.v" 1 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1559331120899 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1559331120899 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "game_controller/ver_player_controller.v 1 1 " "Found 1 design units, including 1 entities, in source file game_controller/ver_player_controller.v" { { "Info" "ISGN_ENTITY_NAME" "1 ver_player_controller " "Found entity 1: ver_player_controller" {  } { { "game_controller/ver_player_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ver_player_controller.v" 1 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1559331120903 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1559331120903 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "game_controller/hor_player_controller.v 1 1 " "Found 1 design units, including 1 entities, in source file game_controller/hor_player_controller.v" { { "Info" "ISGN_ENTITY_NAME" "1 hor_player_controller " "Found entity 1: hor_player_controller" {  } { { "game_controller/hor_player_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/hor_player_controller.v" 1 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1559331120906 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1559331120906 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "game_controller/bludger_controller.v 1 1 " "Found 1 design units, including 1 entities, in source file game_controller/bludger_controller.v" { { "Info" "ISGN_ENTITY_NAME" "1 bludger_controller " "Found entity 1: bludger_controller" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 1 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1559331120909 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1559331120909 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "game_controller/ball_controller_furkan.v 1 1 " "Found 1 design units, including 1 entities, in source file game_controller/ball_controller_furkan.v" { { "Info" "ISGN_ENTITY_NAME" "1 ball_controller_furkan " "Found entity 1: ball_controller_furkan" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 1 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1559331120912 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1559331120912 ""}
{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "bludger vga_controller.v(83) " "Verilog HDL Implicit Net warning at vga_controller.v(83): created implicit net for \"bludger\"" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 83 0 0 } }  } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1559331120913 ""}
{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "blue_ver_clean_wire game_controller.v(82) " "Verilog HDL Implicit Net warning at game_controller.v(82): created implicit net for \"blue_ver_clean_wire\"" {  } { { "game_controller/game_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/game_controller.v" 82 0 0 } }  } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1559331120913 ""}
{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "red_ver_clean_wire game_controller.v(84) " "Verilog HDL Implicit Net warning at game_controller.v(84): created implicit net for \"red_ver_clean_wire\"" {  } { { "game_controller/game_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/game_controller.v" 84 0 0 } }  } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1559331120913 ""}
{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "blue_ver_bludged_wire game_controller.v(100) " "Verilog HDL Implicit Net warning at game_controller.v(100): created implicit net for \"blue_ver_bludged_wire\"" {  } { { "game_controller/game_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/game_controller.v" 100 0 0 } }  } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1559331120913 ""}
{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "blue_hor_bludged_wire game_controller.v(100) " "Verilog HDL Implicit Net warning at game_controller.v(100): created implicit net for \"blue_hor_bludged_wire\"" {  } { { "game_controller/game_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/game_controller.v" 100 0 0 } }  } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1559331120913 ""}
{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "red_ver_bludged_wire game_controller.v(100) " "Verilog HDL Implicit Net warning at game_controller.v(100): created implicit net for \"red_ver_bludged_wire\"" {  } { { "game_controller/game_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/game_controller.v" 100 0 0 } }  } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1559331120913 ""}
{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "red_hor_bludged_wire game_controller.v(100) " "Verilog HDL Implicit Net warning at game_controller.v(100): created implicit net for \"red_hor_bludged_wire\"" {  } { { "game_controller/game_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/game_controller.v" 100 0 0 } }  } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1559331120913 ""}
{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "blue_hor_clean_wire game_controller.v(103) " "Verilog HDL Implicit Net warning at game_controller.v(103): created implicit net for \"blue_hor_clean_wire\"" {  } { { "game_controller/game_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/game_controller.v" 103 0 0 } }  } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1559331120913 ""}
{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "red_hor_clean_wire game_controller.v(105) " "Verilog HDL Implicit Net warning at game_controller.v(105): created implicit net for \"red_hor_clean_wire\"" {  } { { "game_controller/game_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/game_controller.v" 105 0 0 } }  } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1559331120913 ""}
{ "Warning" "WVRFX_VERI_PARAM_DECL_BEHAVES_AS_LOCAL" "ver_player_controller ver_player_controller.v(28) " "Verilog HDL Parameter Declaration warning at ver_player_controller.v(28): Parameter Declaration in module \"ver_player_controller\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" {  } { { "game_controller/ver_player_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ver_player_controller.v" 28 0 0 } }  } 0 10222 "Verilog HDL Parameter Declaration warning at %2!s!: Parameter Declaration in module \"%1!s!\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" 0 0 "Quartus II" 0 -1 1559331120914 ""}
{ "Warning" "WVRFX_VERI_PARAM_DECL_BEHAVES_AS_LOCAL" "ver_player_controller ver_player_controller.v(29) " "Verilog HDL Parameter Declaration warning at ver_player_controller.v(29): Parameter Declaration in module \"ver_player_controller\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" {  } { { "game_controller/ver_player_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ver_player_controller.v" 29 0 0 } }  } 0 10222 "Verilog HDL Parameter Declaration warning at %2!s!: Parameter Declaration in module \"%1!s!\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" 0 0 "Quartus II" 0 -1 1559331120914 ""}
{ "Warning" "WVRFX_VERI_PARAM_DECL_BEHAVES_AS_LOCAL" "ver_player_controller ver_player_controller.v(30) " "Verilog HDL Parameter Declaration warning at ver_player_controller.v(30): Parameter Declaration in module \"ver_player_controller\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" {  } { { "game_controller/ver_player_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ver_player_controller.v" 30 0 0 } }  } 0 10222 "Verilog HDL Parameter Declaration warning at %2!s!: Parameter Declaration in module \"%1!s!\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" 0 0 "Quartus II" 0 -1 1559331120914 ""}
{ "Warning" "WVRFX_VERI_PARAM_DECL_BEHAVES_AS_LOCAL" "ball_controller_furkan ball_controller_furkan.v(45) " "Verilog HDL Parameter Declaration warning at ball_controller_furkan.v(45): Parameter Declaration in module \"ball_controller_furkan\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 45 0 0 } }  } 0 10222 "Verilog HDL Parameter Declaration warning at %2!s!: Parameter Declaration in module \"%1!s!\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" 0 0 "Quartus II" 0 -1 1559331120914 ""}
{ "Warning" "WVRFX_VERI_PARAM_DECL_BEHAVES_AS_LOCAL" "ball_controller_furkan ball_controller_furkan.v(46) " "Verilog HDL Parameter Declaration warning at ball_controller_furkan.v(46): Parameter Declaration in module \"ball_controller_furkan\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 46 0 0 } }  } 0 10222 "Verilog HDL Parameter Declaration warning at %2!s!: Parameter Declaration in module \"%1!s!\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" 0 0 "Quartus II" 0 -1 1559331120915 ""}
{ "Warning" "WVRFX_VERI_PARAM_DECL_BEHAVES_AS_LOCAL" "bludger_controller bludger_controller.v(40) " "Verilog HDL Parameter Declaration warning at bludger_controller.v(40): Parameter Declaration in module \"bludger_controller\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 40 0 0 } }  } 0 10222 "Verilog HDL Parameter Declaration warning at %2!s!: Parameter Declaration in module \"%1!s!\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" 0 0 "Quartus II" 0 -1 1559331120917 ""}
{ "Warning" "WVRFX_VERI_PARAM_DECL_BEHAVES_AS_LOCAL" "bludger_controller bludger_controller.v(41) " "Verilog HDL Parameter Declaration warning at bludger_controller.v(41): Parameter Declaration in module \"bludger_controller\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 41 0 0 } }  } 0 10222 "Verilog HDL Parameter Declaration warning at %2!s!: Parameter Declaration in module \"%1!s!\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" 0 0 "Quartus II" 0 -1 1559331120917 ""}
{ "Warning" "WVRFX_VERI_PARAM_DECL_BEHAVES_AS_LOCAL" "hor_player_controller hor_player_controller.v(26) " "Verilog HDL Parameter Declaration warning at hor_player_controller.v(26): Parameter Declaration in module \"hor_player_controller\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" {  } { { "game_controller/hor_player_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/hor_player_controller.v" 26 0 0 } }  } 0 10222 "Verilog HDL Parameter Declaration warning at %2!s!: Parameter Declaration in module \"%1!s!\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" 0 0 "Quartus II" 0 -1 1559331120920 ""}
{ "Warning" "WVRFX_VERI_PARAM_DECL_BEHAVES_AS_LOCAL" "hor_player_controller hor_player_controller.v(27) " "Verilog HDL Parameter Declaration warning at hor_player_controller.v(27): Parameter Declaration in module \"hor_player_controller\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" {  } { { "game_controller/hor_player_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/hor_player_controller.v" 27 0 0 } }  } 0 10222 "Verilog HDL Parameter Declaration warning at %2!s!: Parameter Declaration in module \"%1!s!\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" 0 0 "Quartus II" 0 -1 1559331120920 ""}
{ "Warning" "WVRFX_VERI_PARAM_DECL_BEHAVES_AS_LOCAL" "hor_player_controller hor_player_controller.v(28) " "Verilog HDL Parameter Declaration warning at hor_player_controller.v(28): Parameter Declaration in module \"hor_player_controller\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" {  } { { "game_controller/hor_player_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/hor_player_controller.v" 28 0 0 } }  } 0 10222 "Verilog HDL Parameter Declaration warning at %2!s!: Parameter Declaration in module \"%1!s!\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" 0 0 "Quartus II" 0 -1 1559331120920 ""}
{ "Warning" "WVRFX_VERI_PARAM_DECL_BEHAVES_AS_LOCAL" "vga_controller vga_controller.v(41) " "Verilog HDL Parameter Declaration warning at vga_controller.v(41): Parameter Declaration in module \"vga_controller\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 41 0 0 } }  } 0 10222 "Verilog HDL Parameter Declaration warning at %2!s!: Parameter Declaration in module \"%1!s!\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" 0 0 "Quartus II" 0 -1 1559331120921 ""}
{ "Warning" "WVRFX_VERI_PARAM_DECL_BEHAVES_AS_LOCAL" "vga_controller vga_controller.v(42) " "Verilog HDL Parameter Declaration warning at vga_controller.v(42): Parameter Declaration in module \"vga_controller\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 42 0 0 } }  } 0 10222 "Verilog HDL Parameter Declaration warning at %2!s!: Parameter Declaration in module \"%1!s!\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" 0 0 "Quartus II" 0 -1 1559331120921 ""}
{ "Warning" "WVRFX_VERI_PARAM_DECL_BEHAVES_AS_LOCAL" "vga_controller vga_controller.v(43) " "Verilog HDL Parameter Declaration warning at vga_controller.v(43): Parameter Declaration in module \"vga_controller\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 43 0 0 } }  } 0 10222 "Verilog HDL Parameter Declaration warning at %2!s!: Parameter Declaration in module \"%1!s!\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" 0 0 "Quartus II" 0 -1 1559331120921 ""}
{ "Warning" "WVRFX_VERI_PARAM_DECL_BEHAVES_AS_LOCAL" "vga_controller vga_controller.v(44) " "Verilog HDL Parameter Declaration warning at vga_controller.v(44): Parameter Declaration in module \"vga_controller\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 44 0 0 } }  } 0 10222 "Verilog HDL Parameter Declaration warning at %2!s!: Parameter Declaration in module \"%1!s!\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" 0 0 "Quartus II" 0 -1 1559331120921 ""}
{ "Warning" "WVRFX_VERI_PARAM_DECL_BEHAVES_AS_LOCAL" "vga_controller vga_controller.v(45) " "Verilog HDL Parameter Declaration warning at vga_controller.v(45): Parameter Declaration in module \"vga_controller\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 45 0 0 } }  } 0 10222 "Verilog HDL Parameter Declaration warning at %2!s!: Parameter Declaration in module \"%1!s!\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" 0 0 "Quartus II" 0 -1 1559331120921 ""}
{ "Warning" "WVRFX_VERI_PARAM_DECL_BEHAVES_AS_LOCAL" "vga_controller vga_controller.v(46) " "Verilog HDL Parameter Declaration warning at vga_controller.v(46): Parameter Declaration in module \"vga_controller\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 46 0 0 } }  } 0 10222 "Verilog HDL Parameter Declaration warning at %2!s!: Parameter Declaration in module \"%1!s!\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" 0 0 "Quartus II" 0 -1 1559331120921 ""}
{ "Warning" "WVRFX_VERI_PARAM_DECL_BEHAVES_AS_LOCAL" "vga_controller vga_controller.v(48) " "Verilog HDL Parameter Declaration warning at vga_controller.v(48): Parameter Declaration in module \"vga_controller\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 48 0 0 } }  } 0 10222 "Verilog HDL Parameter Declaration warning at %2!s!: Parameter Declaration in module \"%1!s!\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" 0 0 "Quartus II" 0 -1 1559331120921 ""}
{ "Warning" "WVRFX_VERI_PARAM_DECL_BEHAVES_AS_LOCAL" "vga_controller vga_controller.v(49) " "Verilog HDL Parameter Declaration warning at vga_controller.v(49): Parameter Declaration in module \"vga_controller\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 49 0 0 } }  } 0 10222 "Verilog HDL Parameter Declaration warning at %2!s!: Parameter Declaration in module \"%1!s!\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" 0 0 "Quartus II" 0 -1 1559331120921 ""}
{ "Warning" "WVRFX_VERI_PARAM_DECL_BEHAVES_AS_LOCAL" "vga_controller vga_controller.v(50) " "Verilog HDL Parameter Declaration warning at vga_controller.v(50): Parameter Declaration in module \"vga_controller\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 50 0 0 } }  } 0 10222 "Verilog HDL Parameter Declaration warning at %2!s!: Parameter Declaration in module \"%1!s!\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" 0 0 "Quartus II" 0 -1 1559331120921 ""}
{ "Warning" "WVRFX_VERI_PARAM_DECL_BEHAVES_AS_LOCAL" "vga_controller vga_controller.v(51) " "Verilog HDL Parameter Declaration warning at vga_controller.v(51): Parameter Declaration in module \"vga_controller\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 51 0 0 } }  } 0 10222 "Verilog HDL Parameter Declaration warning at %2!s!: Parameter Declaration in module \"%1!s!\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" 0 0 "Quartus II" 0 -1 1559331120921 ""}
{ "Warning" "WVRFX_VERI_PARAM_DECL_BEHAVES_AS_LOCAL" "vga_controller vga_controller.v(52) " "Verilog HDL Parameter Declaration warning at vga_controller.v(52): Parameter Declaration in module \"vga_controller\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 52 0 0 } }  } 0 10222 "Verilog HDL Parameter Declaration warning at %2!s!: Parameter Declaration in module \"%1!s!\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" 0 0 "Quartus II" 0 -1 1559331120921 ""}
{ "Warning" "WVRFX_VERI_PARAM_DECL_BEHAVES_AS_LOCAL" "vga_controller vga_controller.v(53) " "Verilog HDL Parameter Declaration warning at vga_controller.v(53): Parameter Declaration in module \"vga_controller\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 53 0 0 } }  } 0 10222 "Verilog HDL Parameter Declaration warning at %2!s!: Parameter Declaration in module \"%1!s!\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" 0 0 "Quartus II" 0 -1 1559331120921 ""}
{ "Warning" "WVRFX_VERI_PARAM_DECL_BEHAVES_AS_LOCAL" "vga_controller vga_controller.v(89) " "Verilog HDL Parameter Declaration warning at vga_controller.v(89): Parameter Declaration in module \"vga_controller\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 89 0 0 } }  } 0 10222 "Verilog HDL Parameter Declaration warning at %2!s!: Parameter Declaration in module \"%1!s!\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" 0 0 "Quartus II" 0 -1 1559331120922 ""}
{ "Warning" "WVRFX_VERI_PARAM_DECL_BEHAVES_AS_LOCAL" "vga_controller vga_controller.v(90) " "Verilog HDL Parameter Declaration warning at vga_controller.v(90): Parameter Declaration in module \"vga_controller\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 90 0 0 } }  } 0 10222 "Verilog HDL Parameter Declaration warning at %2!s!: Parameter Declaration in module \"%1!s!\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" 0 0 "Quartus II" 0 -1 1559331120922 ""}
{ "Warning" "WVRFX_VERI_PARAM_DECL_BEHAVES_AS_LOCAL" "vga_controller vga_controller.v(92) " "Verilog HDL Parameter Declaration warning at vga_controller.v(92): Parameter Declaration in module \"vga_controller\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 92 0 0 } }  } 0 10222 "Verilog HDL Parameter Declaration warning at %2!s!: Parameter Declaration in module \"%1!s!\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" 0 0 "Quartus II" 0 -1 1559331120922 ""}
{ "Warning" "WVRFX_VERI_PARAM_DECL_BEHAVES_AS_LOCAL" "vga_controller vga_controller.v(93) " "Verilog HDL Parameter Declaration warning at vga_controller.v(93): Parameter Declaration in module \"vga_controller\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 93 0 0 } }  } 0 10222 "Verilog HDL Parameter Declaration warning at %2!s!: Parameter Declaration in module \"%1!s!\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" 0 0 "Quartus II" 0 -1 1559331120922 ""}
{ "Warning" "WVRFX_VERI_PARAM_DECL_BEHAVES_AS_LOCAL" "vga_controller vga_controller.v(95) " "Verilog HDL Parameter Declaration warning at vga_controller.v(95): Parameter Declaration in module \"vga_controller\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 95 0 0 } }  } 0 10222 "Verilog HDL Parameter Declaration warning at %2!s!: Parameter Declaration in module \"%1!s!\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" 0 0 "Quartus II" 0 -1 1559331120922 ""}
{ "Warning" "WVRFX_VERI_PARAM_DECL_BEHAVES_AS_LOCAL" "vga_controller vga_controller.v(96) " "Verilog HDL Parameter Declaration warning at vga_controller.v(96): Parameter Declaration in module \"vga_controller\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 96 0 0 } }  } 0 10222 "Verilog HDL Parameter Declaration warning at %2!s!: Parameter Declaration in module \"%1!s!\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" 0 0 "Quartus II" 0 -1 1559331120922 ""}
{ "Warning" "WVRFX_VERI_PARAM_DECL_BEHAVES_AS_LOCAL" "vga_controller vga_controller.v(98) " "Verilog HDL Parameter Declaration warning at vga_controller.v(98): Parameter Declaration in module \"vga_controller\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 98 0 0 } }  } 0 10222 "Verilog HDL Parameter Declaration warning at %2!s!: Parameter Declaration in module \"%1!s!\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" 0 0 "Quartus II" 0 -1 1559331120922 ""}
{ "Warning" "WVRFX_VERI_PARAM_DECL_BEHAVES_AS_LOCAL" "vga_controller vga_controller.v(99) " "Verilog HDL Parameter Declaration warning at vga_controller.v(99): Parameter Declaration in module \"vga_controller\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 99 0 0 } }  } 0 10222 "Verilog HDL Parameter Declaration warning at %2!s!: Parameter Declaration in module \"%1!s!\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" 0 0 "Quartus II" 0 -1 1559331120922 ""}
{ "Warning" "WVRFX_VERI_PARAM_DECL_BEHAVES_AS_LOCAL" "vga_controller vga_controller.v(101) " "Verilog HDL Parameter Declaration warning at vga_controller.v(101): Parameter Declaration in module \"vga_controller\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 101 0 0 } }  } 0 10222 "Verilog HDL Parameter Declaration warning at %2!s!: Parameter Declaration in module \"%1!s!\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" 0 0 "Quartus II" 0 -1 1559331120922 ""}
{ "Warning" "WVRFX_VERI_PARAM_DECL_BEHAVES_AS_LOCAL" "vga_controller vga_controller.v(102) " "Verilog HDL Parameter Declaration warning at vga_controller.v(102): Parameter Declaration in module \"vga_controller\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 102 0 0 } }  } 0 10222 "Verilog HDL Parameter Declaration warning at %2!s!: Parameter Declaration in module \"%1!s!\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" 0 0 "Quartus II" 0 -1 1559331120922 ""}
{ "Warning" "WVRFX_VERI_PARAM_DECL_BEHAVES_AS_LOCAL" "vga_controller vga_controller.v(104) " "Verilog HDL Parameter Declaration warning at vga_controller.v(104): Parameter Declaration in module \"vga_controller\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 104 0 0 } }  } 0 10222 "Verilog HDL Parameter Declaration warning at %2!s!: Parameter Declaration in module \"%1!s!\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" 0 0 "Quartus II" 0 -1 1559331120923 ""}
{ "Warning" "WVRFX_VERI_PARAM_DECL_BEHAVES_AS_LOCAL" "vga_controller vga_controller.v(105) " "Verilog HDL Parameter Declaration warning at vga_controller.v(105): Parameter Declaration in module \"vga_controller\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 105 0 0 } }  } 0 10222 "Verilog HDL Parameter Declaration warning at %2!s!: Parameter Declaration in module \"%1!s!\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" 0 0 "Quartus II" 0 -1 1559331120923 ""}
{ "Warning" "WVRFX_VERI_PARAM_DECL_BEHAVES_AS_LOCAL" "vga_controller vga_controller.v(107) " "Verilog HDL Parameter Declaration warning at vga_controller.v(107): Parameter Declaration in module \"vga_controller\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 107 0 0 } }  } 0 10222 "Verilog HDL Parameter Declaration warning at %2!s!: Parameter Declaration in module \"%1!s!\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" 0 0 "Quartus II" 0 -1 1559331120923 ""}
{ "Warning" "WVRFX_VERI_PARAM_DECL_BEHAVES_AS_LOCAL" "vga_controller vga_controller.v(108) " "Verilog HDL Parameter Declaration warning at vga_controller.v(108): Parameter Declaration in module \"vga_controller\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 108 0 0 } }  } 0 10222 "Verilog HDL Parameter Declaration warning at %2!s!: Parameter Declaration in module \"%1!s!\" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List" 0 0 "Quartus II" 0 -1 1559331120923 ""}
{ "Info" "ISGN_START_ELABORATION_TOP" "fakequidditch " "Elaborating entity \"fakequidditch\" for the top level hierarchy" {  } {  } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1559331120974 ""}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "game_controller game_controller:game_ctrl " "Elaborating entity \"game_controller\" for hierarchy \"game_controller:game_ctrl\"" {  } { { "fakequidditch.v" "game_ctrl" { Text "C:/Users/Cansu/Desktop/fakequidditch/fakequidditch.v" 92 0 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1559331120978 ""}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 game_controller.v(128) " "Verilog HDL assignment warning at game_controller.v(128): truncated value with size 32 to match size of target (8)" {  } { { "game_controller/game_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/game_controller.v" 128 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331120981 "|fakequidditch|game_controller:game_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 7 game_controller.v(140) " "Verilog HDL assignment warning at game_controller.v(140): truncated value with size 32 to match size of target (7)" {  } { { "game_controller/game_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/game_controller.v" 140 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331120981 "|fakequidditch|game_controller:game_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 7 game_controller.v(143) " "Verilog HDL assignment warning at game_controller.v(143): truncated value with size 32 to match size of target (7)" {  } { { "game_controller/game_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/game_controller.v" 143 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331120981 "|fakequidditch|game_controller:game_ctrl"}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "ver_player_controller game_controller:game_ctrl\|ver_player_controller:team1_ver_ctrl " "Elaborating entity \"ver_player_controller\" for hierarchy \"game_controller:game_ctrl\|ver_player_controller:team1_ver_ctrl\"" {  } { { "game_controller/game_controller.v" "team1_ver_ctrl" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/game_controller.v" 82 0 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1559331120983 ""}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 ver_player_controller.v(40) " "Verilog HDL assignment warning at ver_player_controller.v(40): truncated value with size 32 to match size of target (10)" {  } { { "game_controller/ver_player_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ver_player_controller.v" 40 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331120986 "|fakequidditch|game_controller:game_ctrl|ver_player_controller:team1_ver_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 ver_player_controller.v(53) " "Verilog HDL assignment warning at ver_player_controller.v(53): truncated value with size 32 to match size of target (4)" {  } { { "game_controller/ver_player_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ver_player_controller.v" 53 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331120987 "|fakequidditch|game_controller:game_ctrl|ver_player_controller:team1_ver_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 ver_player_controller.v(99) " "Verilog HDL assignment warning at ver_player_controller.v(99): truncated value with size 32 to match size of target (10)" {  } { { "game_controller/ver_player_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ver_player_controller.v" 99 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331120989 "|fakequidditch|game_controller:game_ctrl|ver_player_controller:team1_ver_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 ver_player_controller.v(102) " "Verilog HDL assignment warning at ver_player_controller.v(102): truncated value with size 32 to match size of target (10)" {  } { { "game_controller/ver_player_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ver_player_controller.v" 102 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331120990 "|fakequidditch|game_controller:game_ctrl|ver_player_controller:team1_ver_ctrl"}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "ver_player_controller game_controller:game_ctrl\|ver_player_controller:team2_ver_ctrl " "Elaborating entity \"ver_player_controller\" for hierarchy \"game_controller:game_ctrl\|ver_player_controller:team2_ver_ctrl\"" {  } { { "game_controller/game_controller.v" "team2_ver_ctrl" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/game_controller.v" 84 0 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1559331121020 ""}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 ver_player_controller.v(40) " "Verilog HDL assignment warning at ver_player_controller.v(40): truncated value with size 32 to match size of target (10)" {  } { { "game_controller/ver_player_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ver_player_controller.v" 40 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121021 "|fakequidditch|game_controller:game_ctrl|ver_player_controller:team2_ver_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 ver_player_controller.v(53) " "Verilog HDL assignment warning at ver_player_controller.v(53): truncated value with size 32 to match size of target (4)" {  } { { "game_controller/ver_player_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ver_player_controller.v" 53 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121022 "|fakequidditch|game_controller:game_ctrl|ver_player_controller:team2_ver_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 ver_player_controller.v(99) " "Verilog HDL assignment warning at ver_player_controller.v(99): truncated value with size 32 to match size of target (10)" {  } { { "game_controller/ver_player_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ver_player_controller.v" 99 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121023 "|fakequidditch|game_controller:game_ctrl|ver_player_controller:team2_ver_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 ver_player_controller.v(102) " "Verilog HDL assignment warning at ver_player_controller.v(102): truncated value with size 32 to match size of target (10)" {  } { { "game_controller/ver_player_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ver_player_controller.v" 102 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121024 "|fakequidditch|game_controller:game_ctrl|ver_player_controller:team2_ver_ctrl"}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "ball_controller_furkan game_controller:game_ctrl\|ball_controller_furkan:ball_ctrl " "Elaborating entity \"ball_controller_furkan\" for hierarchy \"game_controller:game_ctrl\|ball_controller_furkan:ball_ctrl\"" {  } { { "game_controller/game_controller.v" "ball_ctrl" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/game_controller.v" 95 0 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1559331121048 ""}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 1 ball_controller_furkan.v(33) " "Verilog HDL assignment warning at ball_controller_furkan.v(33): truncated value with size 32 to match size of target (1)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 33 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121056 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 1 ball_controller_furkan.v(38) " "Verilog HDL assignment warning at ball_controller_furkan.v(38): truncated value with size 32 to match size of target (1)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 38 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121056 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 1 ball_controller_furkan.v(49) " "Verilog HDL assignment warning at ball_controller_furkan.v(49): truncated value with size 32 to match size of target (1)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 49 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121056 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 1 ball_controller_furkan.v(69) " "Verilog HDL assignment warning at ball_controller_furkan.v(69): truncated value with size 32 to match size of target (1)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 69 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121056 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 1 ball_controller_furkan.v(71) " "Verilog HDL assignment warning at ball_controller_furkan.v(71): truncated value with size 32 to match size of target (1)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 71 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121056 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 1 ball_controller_furkan.v(74) " "Verilog HDL assignment warning at ball_controller_furkan.v(74): truncated value with size 32 to match size of target (1)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 74 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121056 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 1 ball_controller_furkan.v(77) " "Verilog HDL assignment warning at ball_controller_furkan.v(77): truncated value with size 32 to match size of target (1)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 77 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121057 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(94) " "Verilog HDL assignment warning at ball_controller_furkan.v(94): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 94 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121057 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(97) " "Verilog HDL assignment warning at ball_controller_furkan.v(97): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 97 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121057 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(100) " "Verilog HDL assignment warning at ball_controller_furkan.v(100): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 100 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121057 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(103) " "Verilog HDL assignment warning at ball_controller_furkan.v(103): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 103 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121057 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(110) " "Verilog HDL assignment warning at ball_controller_furkan.v(110): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 110 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121057 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(113) " "Verilog HDL assignment warning at ball_controller_furkan.v(113): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 113 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121057 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(116) " "Verilog HDL assignment warning at ball_controller_furkan.v(116): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 116 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121057 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(119) " "Verilog HDL assignment warning at ball_controller_furkan.v(119): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 119 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121057 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(124) " "Verilog HDL assignment warning at ball_controller_furkan.v(124): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 124 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121057 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(125) " "Verilog HDL assignment warning at ball_controller_furkan.v(125): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 125 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121057 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(129) " "Verilog HDL assignment warning at ball_controller_furkan.v(129): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 129 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121057 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(130) " "Verilog HDL assignment warning at ball_controller_furkan.v(130): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 130 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121057 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(134) " "Verilog HDL assignment warning at ball_controller_furkan.v(134): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 134 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121057 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(135) " "Verilog HDL assignment warning at ball_controller_furkan.v(135): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 135 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121057 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(139) " "Verilog HDL assignment warning at ball_controller_furkan.v(139): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 139 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121057 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(140) " "Verilog HDL assignment warning at ball_controller_furkan.v(140): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 140 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121057 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(149) " "Verilog HDL assignment warning at ball_controller_furkan.v(149): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 149 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121057 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(152) " "Verilog HDL assignment warning at ball_controller_furkan.v(152): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 152 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121058 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(155) " "Verilog HDL assignment warning at ball_controller_furkan.v(155): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 155 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121058 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(158) " "Verilog HDL assignment warning at ball_controller_furkan.v(158): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 158 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121058 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(163) " "Verilog HDL assignment warning at ball_controller_furkan.v(163): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 163 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121058 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(164) " "Verilog HDL assignment warning at ball_controller_furkan.v(164): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 164 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121058 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(168) " "Verilog HDL assignment warning at ball_controller_furkan.v(168): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 168 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121058 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(169) " "Verilog HDL assignment warning at ball_controller_furkan.v(169): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 169 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121058 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(173) " "Verilog HDL assignment warning at ball_controller_furkan.v(173): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 173 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121058 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(174) " "Verilog HDL assignment warning at ball_controller_furkan.v(174): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 174 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121058 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(178) " "Verilog HDL assignment warning at ball_controller_furkan.v(178): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 178 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121058 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(179) " "Verilog HDL assignment warning at ball_controller_furkan.v(179): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 179 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121058 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(188) " "Verilog HDL assignment warning at ball_controller_furkan.v(188): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 188 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121058 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(191) " "Verilog HDL assignment warning at ball_controller_furkan.v(191): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 191 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121058 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(194) " "Verilog HDL assignment warning at ball_controller_furkan.v(194): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 194 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121058 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(197) " "Verilog HDL assignment warning at ball_controller_furkan.v(197): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 197 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121058 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(202) " "Verilog HDL assignment warning at ball_controller_furkan.v(202): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 202 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121058 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(203) " "Verilog HDL assignment warning at ball_controller_furkan.v(203): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 203 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121058 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(207) " "Verilog HDL assignment warning at ball_controller_furkan.v(207): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 207 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121058 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(208) " "Verilog HDL assignment warning at ball_controller_furkan.v(208): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 208 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121058 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(212) " "Verilog HDL assignment warning at ball_controller_furkan.v(212): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 212 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121058 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(213) " "Verilog HDL assignment warning at ball_controller_furkan.v(213): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 213 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121059 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(217) " "Verilog HDL assignment warning at ball_controller_furkan.v(217): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 217 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121059 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(218) " "Verilog HDL assignment warning at ball_controller_furkan.v(218): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 218 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121059 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(227) " "Verilog HDL assignment warning at ball_controller_furkan.v(227): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 227 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121059 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(230) " "Verilog HDL assignment warning at ball_controller_furkan.v(230): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 230 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121059 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(233) " "Verilog HDL assignment warning at ball_controller_furkan.v(233): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 233 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121059 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(236) " "Verilog HDL assignment warning at ball_controller_furkan.v(236): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 236 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121059 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(241) " "Verilog HDL assignment warning at ball_controller_furkan.v(241): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 241 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121059 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(242) " "Verilog HDL assignment warning at ball_controller_furkan.v(242): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 242 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121059 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(246) " "Verilog HDL assignment warning at ball_controller_furkan.v(246): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 246 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121059 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(247) " "Verilog HDL assignment warning at ball_controller_furkan.v(247): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 247 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121059 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(251) " "Verilog HDL assignment warning at ball_controller_furkan.v(251): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 251 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121059 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(252) " "Verilog HDL assignment warning at ball_controller_furkan.v(252): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 252 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121059 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(256) " "Verilog HDL assignment warning at ball_controller_furkan.v(256): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 256 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121059 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 ball_controller_furkan.v(257) " "Verilog HDL assignment warning at ball_controller_furkan.v(257): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/ball_controller_furkan.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/ball_controller_furkan.v" 257 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121059 "|fakequidditch|game_controller:game_ctrl|ball_controller_furkan:ball_ctrl"}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "bludger_controller game_controller:game_ctrl\|bludger_controller:bludger_ctrl " "Elaborating entity \"bludger_controller\" for hierarchy \"game_controller:game_ctrl\|bludger_controller:bludger_ctrl\"" {  } { { "game_controller/game_controller.v" "bludger_ctrl" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/game_controller.v" 100 0 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1559331121060 ""}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 1 bludger_controller.v(36) " "Verilog HDL assignment warning at bludger_controller.v(36): truncated value with size 32 to match size of target (1)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 36 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121067 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 1 bludger_controller.v(44) " "Verilog HDL assignment warning at bludger_controller.v(44): truncated value with size 32 to match size of target (1)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 44 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121067 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 1 bludger_controller.v(62) " "Verilog HDL assignment warning at bludger_controller.v(62): truncated value with size 32 to match size of target (1)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 62 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121067 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(84) " "Verilog HDL assignment warning at bludger_controller.v(84): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 84 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121067 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(88) " "Verilog HDL assignment warning at bludger_controller.v(88): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 88 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121067 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(92) " "Verilog HDL assignment warning at bludger_controller.v(92): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 92 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121067 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(96) " "Verilog HDL assignment warning at bludger_controller.v(96): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 96 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121067 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(105) " "Verilog HDL assignment warning at bludger_controller.v(105): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 105 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121067 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(109) " "Verilog HDL assignment warning at bludger_controller.v(109): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 109 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121067 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(113) " "Verilog HDL assignment warning at bludger_controller.v(113): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 113 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121067 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(117) " "Verilog HDL assignment warning at bludger_controller.v(117): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 117 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121068 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(123) " "Verilog HDL assignment warning at bludger_controller.v(123): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 123 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121068 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(124) " "Verilog HDL assignment warning at bludger_controller.v(124): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 124 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121068 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(128) " "Verilog HDL assignment warning at bludger_controller.v(128): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 128 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121068 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(129) " "Verilog HDL assignment warning at bludger_controller.v(129): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 129 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121068 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(133) " "Verilog HDL assignment warning at bludger_controller.v(133): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 133 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121068 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(134) " "Verilog HDL assignment warning at bludger_controller.v(134): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 134 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121068 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(138) " "Verilog HDL assignment warning at bludger_controller.v(138): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 138 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121068 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(139) " "Verilog HDL assignment warning at bludger_controller.v(139): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 139 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121068 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(149) " "Verilog HDL assignment warning at bludger_controller.v(149): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 149 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121068 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(153) " "Verilog HDL assignment warning at bludger_controller.v(153): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 153 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121068 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(157) " "Verilog HDL assignment warning at bludger_controller.v(157): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 157 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121069 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(161) " "Verilog HDL assignment warning at bludger_controller.v(161): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 161 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121069 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(167) " "Verilog HDL assignment warning at bludger_controller.v(167): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 167 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121069 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(168) " "Verilog HDL assignment warning at bludger_controller.v(168): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 168 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121069 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(172) " "Verilog HDL assignment warning at bludger_controller.v(172): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 172 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121069 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(173) " "Verilog HDL assignment warning at bludger_controller.v(173): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 173 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121069 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(177) " "Verilog HDL assignment warning at bludger_controller.v(177): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 177 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121069 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(178) " "Verilog HDL assignment warning at bludger_controller.v(178): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 178 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121069 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(182) " "Verilog HDL assignment warning at bludger_controller.v(182): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 182 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121069 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(183) " "Verilog HDL assignment warning at bludger_controller.v(183): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 183 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121069 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(193) " "Verilog HDL assignment warning at bludger_controller.v(193): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 193 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121069 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(197) " "Verilog HDL assignment warning at bludger_controller.v(197): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 197 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121069 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(201) " "Verilog HDL assignment warning at bludger_controller.v(201): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 201 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121070 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(205) " "Verilog HDL assignment warning at bludger_controller.v(205): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 205 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121070 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(211) " "Verilog HDL assignment warning at bludger_controller.v(211): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 211 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121070 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(212) " "Verilog HDL assignment warning at bludger_controller.v(212): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 212 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121070 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(216) " "Verilog HDL assignment warning at bludger_controller.v(216): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 216 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121070 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(217) " "Verilog HDL assignment warning at bludger_controller.v(217): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 217 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121070 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(221) " "Verilog HDL assignment warning at bludger_controller.v(221): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 221 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121070 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(222) " "Verilog HDL assignment warning at bludger_controller.v(222): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 222 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121070 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(226) " "Verilog HDL assignment warning at bludger_controller.v(226): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 226 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121070 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(227) " "Verilog HDL assignment warning at bludger_controller.v(227): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 227 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121070 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(237) " "Verilog HDL assignment warning at bludger_controller.v(237): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 237 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121070 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(241) " "Verilog HDL assignment warning at bludger_controller.v(241): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 241 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121070 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(245) " "Verilog HDL assignment warning at bludger_controller.v(245): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 245 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121071 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(249) " "Verilog HDL assignment warning at bludger_controller.v(249): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 249 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121071 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(255) " "Verilog HDL assignment warning at bludger_controller.v(255): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 255 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121071 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(256) " "Verilog HDL assignment warning at bludger_controller.v(256): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 256 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121071 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(260) " "Verilog HDL assignment warning at bludger_controller.v(260): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 260 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121071 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(261) " "Verilog HDL assignment warning at bludger_controller.v(261): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 261 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121071 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(265) " "Verilog HDL assignment warning at bludger_controller.v(265): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 265 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121071 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(266) " "Verilog HDL assignment warning at bludger_controller.v(266): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 266 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121071 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(270) " "Verilog HDL assignment warning at bludger_controller.v(270): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 270 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121071 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 11 bludger_controller.v(271) " "Verilog HDL assignment warning at bludger_controller.v(271): truncated value with size 32 to match size of target (11)" {  } { { "game_controller/bludger_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/bludger_controller.v" 271 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121071 "|fakequidditch|game_controller:game_ctrl|bludger_controller:bludger_ctrl"}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "hor_player_controller game_controller:game_ctrl\|hor_player_controller:team1_hor_ctrl " "Elaborating entity \"hor_player_controller\" for hierarchy \"game_controller:game_ctrl\|hor_player_controller:team1_hor_ctrl\"" {  } { { "game_controller/game_controller.v" "team1_hor_ctrl" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/game_controller.v" 103 0 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1559331121073 ""}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 hor_player_controller.v(38) " "Verilog HDL assignment warning at hor_player_controller.v(38): truncated value with size 32 to match size of target (10)" {  } { { "game_controller/hor_player_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/hor_player_controller.v" 38 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121076 "|fakequidditch|game_controller:game_ctrl|hor_player_controller:team1_hor_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 hor_player_controller.v(51) " "Verilog HDL assignment warning at hor_player_controller.v(51): truncated value with size 32 to match size of target (4)" {  } { { "game_controller/hor_player_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/hor_player_controller.v" 51 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121076 "|fakequidditch|game_controller:game_ctrl|hor_player_controller:team1_hor_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 hor_player_controller.v(97) " "Verilog HDL assignment warning at hor_player_controller.v(97): truncated value with size 32 to match size of target (10)" {  } { { "game_controller/hor_player_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/hor_player_controller.v" 97 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121077 "|fakequidditch|game_controller:game_ctrl|hor_player_controller:team1_hor_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 hor_player_controller.v(100) " "Verilog HDL assignment warning at hor_player_controller.v(100): truncated value with size 32 to match size of target (10)" {  } { { "game_controller/hor_player_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/hor_player_controller.v" 100 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121077 "|fakequidditch|game_controller:game_ctrl|hor_player_controller:team1_hor_ctrl"}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "hor_player_controller game_controller:game_ctrl\|hor_player_controller:team2_hor_ctrl " "Elaborating entity \"hor_player_controller\" for hierarchy \"game_controller:game_ctrl\|hor_player_controller:team2_hor_ctrl\"" {  } { { "game_controller/game_controller.v" "team2_hor_ctrl" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/game_controller.v" 105 0 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1559331121078 ""}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 hor_player_controller.v(38) " "Verilog HDL assignment warning at hor_player_controller.v(38): truncated value with size 32 to match size of target (10)" {  } { { "game_controller/hor_player_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/hor_player_controller.v" 38 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121081 "|fakequidditch|game_controller:game_ctrl|hor_player_controller:team2_hor_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 hor_player_controller.v(51) " "Verilog HDL assignment warning at hor_player_controller.v(51): truncated value with size 32 to match size of target (4)" {  } { { "game_controller/hor_player_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/hor_player_controller.v" 51 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121082 "|fakequidditch|game_controller:game_ctrl|hor_player_controller:team2_hor_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 hor_player_controller.v(97) " "Verilog HDL assignment warning at hor_player_controller.v(97): truncated value with size 32 to match size of target (10)" {  } { { "game_controller/hor_player_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/hor_player_controller.v" 97 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121082 "|fakequidditch|game_controller:game_ctrl|hor_player_controller:team2_hor_ctrl"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 hor_player_controller.v(100) " "Verilog HDL assignment warning at hor_player_controller.v(100): truncated value with size 32 to match size of target (10)" {  } { { "game_controller/hor_player_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/game_controller/hor_player_controller.v" 100 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121082 "|fakequidditch|game_controller:game_ctrl|hor_player_controller:team2_hor_ctrl"}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "vga_controller vga_controller:vga_cont " "Elaborating entity \"vga_controller\" for hierarchy \"vga_controller:vga_cont\"" {  } { { "fakequidditch.v" "vga_cont" { Text "C:/Users/Cansu/Desktop/fakequidditch/fakequidditch.v" 98 0 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1559331121083 ""}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 1 vga_controller.v(57) " "Verilog HDL assignment warning at vga_controller.v(57): truncated value with size 32 to match size of target (1)" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 57 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121088 "|fakequidditch|vga_controller:vga_cont"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 1 vga_controller.v(65) " "Verilog HDL assignment warning at vga_controller.v(65): truncated value with size 32 to match size of target (1)" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 65 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121090 "|fakequidditch|vga_controller:vga_cont"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 1 vga_controller.v(73) " "Verilog HDL assignment warning at vga_controller.v(73): truncated value with size 32 to match size of target (1)" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 73 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121091 "|fakequidditch|vga_controller:vga_cont"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 1 vga_controller.v(77) " "Verilog HDL assignment warning at vga_controller.v(77): truncated value with size 32 to match size of target (1)" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 77 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121092 "|fakequidditch|vga_controller:vga_cont"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 1 vga_controller.v(81) " "Verilog HDL assignment warning at vga_controller.v(81): truncated value with size 32 to match size of target (1)" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 81 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121093 "|fakequidditch|vga_controller:vga_cont"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 1 vga_controller.v(83) " "Verilog HDL assignment warning at vga_controller.v(83): truncated value with size 32 to match size of target (1)" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 83 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121093 "|fakequidditch|vga_controller:vga_cont"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 1 vga_controller.v(85) " "Verilog HDL assignment warning at vga_controller.v(85): truncated value with size 32 to match size of target (1)" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 85 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121093 "|fakequidditch|vga_controller:vga_cont"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 1 vga_controller.v(87) " "Verilog HDL assignment warning at vga_controller.v(87): truncated value with size 32 to match size of target (1)" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 87 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121094 "|fakequidditch|vga_controller:vga_cont"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 vga_controller.v(123) " "Verilog HDL assignment warning at vga_controller.v(123): truncated value with size 32 to match size of target (4)" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 123 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121094 "|fakequidditch|vga_controller:vga_cont"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 vga_controller.v(124) " "Verilog HDL assignment warning at vga_controller.v(124): truncated value with size 32 to match size of target (4)" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 124 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121094 "|fakequidditch|vga_controller:vga_cont"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 vga_controller.v(125) " "Verilog HDL assignment warning at vga_controller.v(125): truncated value with size 32 to match size of target (4)" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 125 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121094 "|fakequidditch|vga_controller:vga_cont"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 vga_controller.v(127) " "Verilog HDL assignment warning at vga_controller.v(127): truncated value with size 32 to match size of target (4)" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 127 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121095 "|fakequidditch|vga_controller:vga_cont"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 vga_controller.v(128) " "Verilog HDL assignment warning at vga_controller.v(128): truncated value with size 32 to match size of target (4)" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 128 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121095 "|fakequidditch|vga_controller:vga_cont"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 vga_controller.v(129) " "Verilog HDL assignment warning at vga_controller.v(129): truncated value with size 32 to match size of target (4)" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 129 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121095 "|fakequidditch|vga_controller:vga_cont"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 vga_controller.v(130) " "Verilog HDL assignment warning at vga_controller.v(130): truncated value with size 32 to match size of target (4)" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 130 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121095 "|fakequidditch|vga_controller:vga_cont"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 vga_controller.v(141) " "Verilog HDL assignment warning at vga_controller.v(141): truncated value with size 32 to match size of target (10)" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 141 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121096 "|fakequidditch|vga_controller:vga_cont"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 vga_controller.v(154) " "Verilog HDL assignment warning at vga_controller.v(154): truncated value with size 32 to match size of target (10)" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 154 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121096 "|fakequidditch|vga_controller:vga_cont"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 vga_controller.v(300) " "Verilog HDL assignment warning at vga_controller.v(300): truncated value with size 32 to match size of target (8)" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 300 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121106 "|fakequidditch|vga_controller:vga_cont"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 vga_controller.v(301) " "Verilog HDL assignment warning at vga_controller.v(301): truncated value with size 32 to match size of target (8)" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 301 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1559331121106 "|fakequidditch|vga_controller:vga_cont"}
{ "Info" "ILPMS_INFERENCING_SUMMARY" "13 " "Inferred 13 megafunctions from design logic" { { "Info" "ILPMS_LPM_DIVIDE_INFERRED" "vga_controller:vga_cont\|Div3 lpm_divide " "Inferred divider/modulo megafunction (\"lpm_divide\") from the following logic: \"vga_controller:vga_cont\|Div3\"" {  } { { "vga_controller/vga_controller.v" "Div3" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 129 -1 0 } }  } 0 278004 "Inferred divider/modulo megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1559331127783 ""} { "Info" "ILPMS_LPM_DIVIDE_INFERRED" "vga_controller:vga_cont\|Mod3 lpm_divide " "Inferred divider/modulo megafunction (\"lpm_divide\") from the following logic: \"vga_controller:vga_cont\|Mod3\"" {  } { { "vga_controller/vga_controller.v" "Mod3" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 130 -1 0 } }  } 0 278004 "Inferred divider/modulo megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1559331127783 ""} { "Info" "ILPMS_LPM_DIVIDE_INFERRED" "vga_controller:vga_cont\|Div0 lpm_divide " "Inferred divider/modulo megafunction (\"lpm_divide\") from the following logic: \"vga_controller:vga_cont\|Div0\"" {  } { { "vga_controller/vga_controller.v" "Div0" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 123 -1 0 } }  } 0 278004 "Inferred divider/modulo megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1559331127783 ""} { "Info" "ILPMS_LPM_DIVIDE_INFERRED" "vga_controller:vga_cont\|Mod0 lpm_divide " "Inferred divider/modulo megafunction (\"lpm_divide\") from the following logic: \"vga_controller:vga_cont\|Mod0\"" {  } { { "vga_controller/vga_controller.v" "Mod0" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 124 -1 0 } }  } 0 278004 "Inferred divider/modulo megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1559331127783 ""} { "Info" "ILPMS_LPM_DIVIDE_INFERRED" "vga_controller:vga_cont\|Div1 lpm_divide " "Inferred divider/modulo megafunction (\"lpm_divide\") from the following logic: \"vga_controller:vga_cont\|Div1\"" {  } { { "vga_controller/vga_controller.v" "Div1" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 124 -1 0 } }  } 0 278004 "Inferred divider/modulo megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1559331127783 ""} { "Info" "ILPMS_LPM_DIVIDE_INFERRED" "vga_controller:vga_cont\|Mod1 lpm_divide " "Inferred divider/modulo megafunction (\"lpm_divide\") from the following logic: \"vga_controller:vga_cont\|Mod1\"" {  } { { "vga_controller/vga_controller.v" "Mod1" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 125 -1 0 } }  } 0 278004 "Inferred divider/modulo megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1559331127783 ""} { "Info" "ILPMS_LPM_DIVIDE_INFERRED" "vga_controller:vga_cont\|Div2 lpm_divide " "Inferred divider/modulo megafunction (\"lpm_divide\") from the following logic: \"vga_controller:vga_cont\|Div2\"" {  } { { "vga_controller/vga_controller.v" "Div2" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 127 -1 0 } }  } 0 278004 "Inferred divider/modulo megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1559331127783 ""} { "Info" "ILPMS_LPM_DIVIDE_INFERRED" "vga_controller:vga_cont\|Mod2 lpm_divide " "Inferred divider/modulo megafunction (\"lpm_divide\") from the following logic: \"vga_controller:vga_cont\|Mod2\"" {  } { { "vga_controller/vga_controller.v" "Mod2" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 128 -1 0 } }  } 0 278004 "Inferred divider/modulo megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1559331127783 ""} { "Info" "ILPMS_LPM_MULT_INFERRED" "vga_controller:vga_cont\|Mult12~mult_llmacmult lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"vga_controller:vga_cont\|Mult12~mult_llmacmult\"" {  } { { "vga_controller/vga_controller.v" "Mult12~mult_llmacmult" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 66 -1 0 } }  } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1559331127783 ""} { "Info" "ILPMS_LPM_MULT_INFERRED" "vga_controller:vga_cont\|Mult13~mult_llmacmult lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"vga_controller:vga_cont\|Mult13~mult_llmacmult\"" {  } { { "vga_controller/vga_controller.v" "Mult13~mult_llmacmult" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 66 -1 0 } }  } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1559331127783 ""} { "Info" "ILPMS_LPM_MULT_INFERRED" "vga_controller:vga_cont\|Mult17~mult_llmacmult lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"vga_controller:vga_cont\|Mult17~mult_llmacmult\"" {  } { { "vga_controller/vga_controller.v" "Mult17~mult_llmacmult" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 68 -1 0 } }  } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1559331127783 ""} { "Info" "ILPMS_LPM_MULT_INFERRED" "vga_controller:vga_cont\|Mult0~mult_llmacmult lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"vga_controller:vga_cont\|Mult0~mult_llmacmult\"" {  } { { "vga_controller/vga_controller.v" "Mult0~mult_llmacmult" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 58 -1 0 } }  } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1559331127783 ""} { "Info" "ILPMS_LPM_MULT_INFERRED" "vga_controller:vga_cont\|Mult9~mult_llmacmult lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"vga_controller:vga_cont\|Mult9~mult_llmacmult\"" {  } { { "vga_controller/vga_controller.v" "Mult9~mult_llmacmult" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 62 -1 0 } }  } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1559331127783 ""}  } {  } 0 278001 "Inferred %1!llu! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1559331127783 ""}
{ "Info" "ISGN_ELABORATION_HEADER" "vga_controller:vga_cont\|lpm_divide:Div3 " "Elaborated megafunction instantiation \"vga_controller:vga_cont\|lpm_divide:Div3\"" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 129 -1 0 } }  } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1559331127820 ""}
{ "Info" "ISGN_MEGAFN_PARAM_TOP" "vga_controller:vga_cont\|lpm_divide:Div3 " "Instantiated megafunction \"vga_controller:vga_cont\|lpm_divide:Div3\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHN 6 " "Parameter \"LPM_WIDTHN\" = \"6\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1559331127821 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHD 4 " "Parameter \"LPM_WIDTHD\" = \"4\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1559331127821 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_NREPRESENTATION UNSIGNED " "Parameter \"LPM_NREPRESENTATION\" = \"UNSIGNED\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1559331127821 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DREPRESENTATION UNSIGNED " "Parameter \"LPM_DREPRESENTATION\" = \"UNSIGNED\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1559331127821 ""}  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 129 -1 0 } }  } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1559331127821 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/lpm_divide_3am.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/lpm_divide_3am.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 lpm_divide_3am " "Found entity 1: lpm_divide_3am" {  } { { "db/lpm_divide_3am.tdf" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/db/lpm_divide_3am.tdf" 24 1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1559331127876 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1559331127876 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/sign_div_unsign_9kh.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/sign_div_unsign_9kh.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 sign_div_unsign_9kh " "Found entity 1: sign_div_unsign_9kh" {  } { { "db/sign_div_unsign_9kh.tdf" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/db/sign_div_unsign_9kh.tdf" 24 1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1559331127885 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1559331127885 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/alt_u_div_ose.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/alt_u_div_ose.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 alt_u_div_ose " "Found entity 1: alt_u_div_ose" {  } { { "db/alt_u_div_ose.tdf" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/db/alt_u_div_ose.tdf" 22 1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1559331127897 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1559331127897 ""}
{ "Info" "ISGN_ELABORATION_HEADER" "vga_controller:vga_cont\|lpm_divide:Mod3 " "Elaborated megafunction instantiation \"vga_controller:vga_cont\|lpm_divide:Mod3\"" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 130 -1 0 } }  } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1559331127905 ""}
{ "Info" "ISGN_MEGAFN_PARAM_TOP" "vga_controller:vga_cont\|lpm_divide:Mod3 " "Instantiated megafunction \"vga_controller:vga_cont\|lpm_divide:Mod3\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHN 6 " "Parameter \"LPM_WIDTHN\" = \"6\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1559331127905 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHD 4 " "Parameter \"LPM_WIDTHD\" = \"4\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1559331127905 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_NREPRESENTATION UNSIGNED " "Parameter \"LPM_NREPRESENTATION\" = \"UNSIGNED\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1559331127905 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DREPRESENTATION UNSIGNED " "Parameter \"LPM_DREPRESENTATION\" = \"UNSIGNED\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1559331127905 ""}  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 130 -1 0 } }  } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1559331127905 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/lpm_divide_62m.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/lpm_divide_62m.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 lpm_divide_62m " "Found entity 1: lpm_divide_62m" {  } { { "db/lpm_divide_62m.tdf" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/db/lpm_divide_62m.tdf" 24 1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1559331127958 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1559331127958 ""}
{ "Info" "ISGN_ELABORATION_HEADER" "vga_controller:vga_cont\|lpm_divide:Div0 " "Elaborated megafunction instantiation \"vga_controller:vga_cont\|lpm_divide:Div0\"" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 123 -1 0 } }  } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1559331127969 ""}
{ "Info" "ISGN_MEGAFN_PARAM_TOP" "vga_controller:vga_cont\|lpm_divide:Div0 " "Instantiated megafunction \"vga_controller:vga_cont\|lpm_divide:Div0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHN 8 " "Parameter \"LPM_WIDTHN\" = \"8\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1559331127969 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHD 6 " "Parameter \"LPM_WIDTHD\" = \"6\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1559331127969 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_NREPRESENTATION UNSIGNED " "Parameter \"LPM_NREPRESENTATION\" = \"UNSIGNED\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1559331127969 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DREPRESENTATION UNSIGNED " "Parameter \"LPM_DREPRESENTATION\" = \"UNSIGNED\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1559331127969 ""}  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 123 -1 0 } }  } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1559331127969 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/lpm_divide_7am.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/lpm_divide_7am.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 lpm_divide_7am " "Found entity 1: lpm_divide_7am" {  } { { "db/lpm_divide_7am.tdf" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/db/lpm_divide_7am.tdf" 24 1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1559331128028 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1559331128028 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/sign_div_unsign_dkh.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/sign_div_unsign_dkh.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 sign_div_unsign_dkh " "Found entity 1: sign_div_unsign_dkh" {  } { { "db/sign_div_unsign_dkh.tdf" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/db/sign_div_unsign_dkh.tdf" 24 1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1559331128038 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1559331128038 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/alt_u_div_0te.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/alt_u_div_0te.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 alt_u_div_0te " "Found entity 1: alt_u_div_0te" {  } { { "db/alt_u_div_0te.tdf" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/db/alt_u_div_0te.tdf" 22 1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1559331128051 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1559331128051 ""}
{ "Info" "ISGN_ELABORATION_HEADER" "vga_controller:vga_cont\|lpm_divide:Mod0 " "Elaborated megafunction instantiation \"vga_controller:vga_cont\|lpm_divide:Mod0\"" {  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 124 -1 0 } }  } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1559331128059 ""}
{ "Info" "ISGN_MEGAFN_PARAM_TOP" "vga_controller:vga_cont\|lpm_divide:Mod0 " "Instantiated megafunction \"vga_controller:vga_cont\|lpm_divide:Mod0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHN 8 " "Parameter \"LPM_WIDTHN\" = \"8\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1559331128059 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHD 6 " "Parameter \"LPM_WIDTHD\" = \"6\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1559331128059 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_NREPRESENTATION UNSIGNED " "Parameter \"LPM_NREPRESENTATION\" = \"UNSIGNED\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1559331128059 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DREPRESENTATION UNSIGNED " "Parameter \"LPM_DREPRESENTATION\" = \"UNSIGNED\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1559331128059 ""}  } { { "vga_controller/vga_controller.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/vga_controller/vga_controller.v" 124 -1 0 } }  } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1559331128059 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/lpm_divide_a2m.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/lpm_divide_a2m.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 lpm_divide_a2m " "Found entity 1: lpm_divide_a2m" {  } { { "db/lpm_divide_a2m.tdf" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/db/lpm_divide_a2m.tdf" 24 1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1559331128119 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1559331128119 ""}
{ "Info" "ISGN_ELABORATION_HEADER" "vga_controller:vga_cont\|lpm_mult:Mult12_rtl_0 " "Elaborated megafunction instantiation \"vga_controller:vga_cont\|lpm_mult:Mult12_rtl_0\"" {  } {  } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1559331128169 ""}
{ "Info" "ISGN_MEGAFN_PARAM_TOP" "vga_controller:vga_cont\|lpm_mult:Mult12_rtl_0 " "Instantiated megafunction \"vga_controller:vga_cont\|lpm_mult:Mult12_rtl_0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHA 18 " "Parameter \"LPM_WIDTHA\" = \"18\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1559331128169 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHB 18 " "Parameter \"LPM_WIDTHB\" = \"18\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1559331128169 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHP 36 " "Parameter \"LPM_WIDTHP\" = \"36\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1559331128169 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHR 36 " "Parameter \"LPM_WIDTHR\" = \"36\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1559331128169 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHS 1 " "Parameter \"LPM_WIDTHS\" = \"1\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1559331128169 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1559331128169 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INPUT_A_IS_CONSTANT NO " "Parameter \"INPUT_A_IS_CONSTANT\" = \"NO\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1559331128169 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INPUT_B_IS_CONSTANT NO " "Parameter \"INPUT_B_IS_CONSTANT\" = \"NO\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1559331128169 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "MAXIMIZE_SPEED 5 " "Parameter \"MAXIMIZE_SPEED\" = \"5\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1559331128169 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "DEDICATED_MULTIPLIER_CIRCUITRY NO " "Parameter \"DEDICATED_MULTIPLIER_CIRCUITRY\" = \"NO\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1559331128169 ""}  } {  } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1559331128169 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mult_ol01.v 1 1 " "Found 1 design units, including 1 entities, in source file db/mult_ol01.v" { { "Info" "ISGN_ENTITY_NAME" "1 mult_ol01 " "Found entity 1: mult_ol01" {  } { { "db/mult_ol01.v" "" { Text "C:/Users/Cansu/Desktop/fakequidditch/db/mult_ol01.v" 80 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1559331128228 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1559331128228 ""}
{ "Warning" "WSGN_CONNECTIVITY_WARNINGS" "2 " "2 hierarchies have connectivity warnings - see the Connectivity Checks report folder" {  } {  } 0 12241 "%1!d! hierarchies have connectivity warnings - see the Connectivity Checks report folder" 0 0 "Quartus II" 0 -1 1559331128860 ""}
{ "Info" "IMLS_MLS_IGNORED_SUMMARY" "1570 " "Ignored 1570 buffer(s)" { { "Info" "IMLS_MLS_IGNORED_SOFT" "1570 " "Ignored 1570 SOFT buffer(s)" {  } {  } 0 13019 "Ignored %1!d! SOFT buffer(s)" 0 0 "Quartus II" 0 -1 1559331128932 ""}  } {  } 0 13014 "Ignored %1!d! buffer(s)" 0 0 "Quartus II" 0 -1 1559331128932 ""}
{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" {  } {  } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Quartus II" 0 -1 1559331141065 ""}
{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" {  } {  } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Quartus II" 0 -1 1559331147351 ""}  } {  } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Quartus II" 0 -1 1559331147351 ""}
{ "Info" "ICUT_CUT_TM_SUMMARY" "6872 " "Implemented 6872 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "9 " "Implemented 9 input pins" {  } {  } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1559331148295 ""} { "Info" "ICUT_CUT_TM_OPINS" "27 " "Implemented 27 output pins" {  } {  } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1559331148295 ""} { "Info" "ICUT_CUT_TM_LCELLS" "6727 " "Implemented 6727 logic cells" {  } {  } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1559331148295 ""} { "Info" "ICUT_CUT_TM_DSP_ELEM" "109 " "Implemented 109 DSP elements" {  } {  } 0 21062 "Implemented %1!d! DSP elements" 0 0 "Quartus II" 0 -1 1559331148295 ""}  } {  } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1559331148295 ""}
{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 199 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 199 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4818 " "Peak virtual memory: 4818 megabytes" {  } {  } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1559331148396 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri May 31 22:32:28 2019 " "Processing ended: Fri May 31 22:32:28 2019" {  } {  } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1559331148396 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:28 " "Elapsed time: 00:00:28" {  } {  } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1559331148396 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:28 " "Total CPU time (on all processors): 00:00:28" {  } {  } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1559331148396 ""}  } {  } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1559331148396 ""}
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" {  } {  } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1559331150099 ""}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II 64-Bit " "Running Quartus II 64-Bit Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition " "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" {  } {  } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1559331150100 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri May 31 22:32:29 2019 " "Processing started: Fri May 31 22:32:29 2019" {  } {  } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1559331150100 ""}  } {  } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1559331150100 ""}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off fakequidditch -c fakequidditch " "Command: quartus_fit --read_settings_files=off --write_settings_files=off fakequidditch -c fakequidditch" {  } {  } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1559331150100 ""}
{ "Info" "0" "" "qfit2_default_script.tcl version: #1" {  } {  } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1559331150167 ""}
{ "Info" "0" "" "Project  = fakequidditch" {  } {  } 0 0 "Project  = fakequidditch" 0 0 "Fitter" 0 0 1559331150168 ""}
{ "Info" "0" "" "Revision = fakequidditch" {  } {  } 0 0 "Revision = fakequidditch" 0 0 "Fitter" 0 0 1559331150168 ""}
{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS_MORE_LOGICAL" "2 2 4 " "Parallel Compilation has detected 4 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 2 of the 2 physical processors detected instead." {  } {  } 0 11104 "Parallel Compilation has detected %3!i! hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use %1!i! of the %2!i! physical processors detected instead." 0 0 "Fitter" 0 -1 1559331150598 ""}
{ "Info" "IMPP_MPP_USER_DEVICE" "fakequidditch 5CSEMA5F31C6 " "Selected device 5CSEMA5F31C6 for design \"fakequidditch\"" {  } {  } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1559331150703 ""}
{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" {  } {  } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1559331150749 ""}
{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" {  } {  } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1559331150749 ""}
{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" {  } {  } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1559331151250 ""}
{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." {  } {  } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1559331151283 ""}
{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" {  } {  } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1559331151895 ""}
{ "Warning" "WXIBISO_RLC_RECORD_NOT_FOUND" "5CSEMA5 FBGA 896 " "Dummy RLC values generated in IBIS model files for device 5CSEMA5 with package FBGA and pin count 896" {  } {  } 0 205009 "Dummy RLC values generated in IBIS model files for device %1!s! with package %2!s! and pin count %3!d!" 0 0 "Fitter" 0 -1 1559331158726 ""}
{ "Info" "IFITCC_FITCC_FITTER_PERIPHERY_PLACEMENT_START_INFO" "" "Starting Fitter periphery placement operations" {  } {  } 0 184020 "Starting Fitter periphery placement operations" 0 0 "Fitter" 0 -1 1559331158739 ""}
{ "Warning" "WXIBISO_RLC_RECORD_NOT_FOUND" "5CSEMA5 FBGA 896 " "Dummy RLC values generated in IBIS model files for device 5CSEMA5 with package FBGA and pin count 896" {  } {  } 0 205009 "Dummy RLC values generated in IBIS model files for device %1!s! with package %2!s! and pin count %3!d!" 0 0 "Fitter" 0 -1 1559331158856 ""}
{ "Info" "ICCLK_CLOCKS_TOP_AUTO" "1  (1 global) " "Automatically promoted 1 clock (1 global)" { { "Info" "ICCLK_PROMOTE_ASSIGNMENT" "clk~inputCLKENA0 701 global CLKCTRL_G6 " "clk~inputCLKENA0 with 701 fanout uses global clock CLKCTRL_G6" {  } {  } 0 11162 "%1!s! with %2!d! fanout uses %3!s! clock %4!s!" 0 0 "Quartus II" 0 -1 1559331158866 ""}  } {  } 0 11191 "Automatically promoted %1!d! clock%2!s! %3!s!" 0 0 "Fitter" 0 -1 1559331158866 ""}
{ "Warning" "WXIBISO_RLC_RECORD_NOT_FOUND" "5CSEMA5 FBGA 896 " "Dummy RLC values generated in IBIS model files for device 5CSEMA5 with package FBGA and pin count 896" {  } {  } 0 205009 "Dummy RLC values generated in IBIS model files for device %1!s! with package %2!s! and pin count %3!d!" 0 0 "Fitter" 0 -1 1559331159013 ""}
{ "Info" "IFITCC_FITCC_FITTER_PERIPHERY_PLACEMENT_END_INFO" "00:00:00 " "Fitter periphery placement operations ending: elapsed time is 00:00:00" {  } {  } 0 184021 "Fitter periphery placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1559331159027 ""}
{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "fakequidditch.sdc " "Synopsys Design Constraints File file not found: 'fakequidditch.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." {  } {  } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1559331160136 ""}
{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" {  } {  } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1559331160137 ""}
{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" {  } {  } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1559331160217 ""}
{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties." {  } {  } 0 332123 "%1!s!" 0 0 "Fitter" 0 -1 1559331160217 ""}
{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." {  } {  } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1559331160218 ""}
{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" {  } {  } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1559331160362 ""}
{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" {  } {  } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1559331160371 ""}
{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" {  } {  } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1559331160381 ""}
{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" {  } {  } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1559331160390 ""}
{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" {  } {  } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1559331160397 ""}
{ "Extra Info" "IFSAC_FSAC_START_IO_MAC_RAM_PACKING" "" "Moving registers into I/O cells, DSP blocks, and RAM blocks to improve timing and density" {  } {  } 1 176246 "Moving registers into I/O cells, DSP blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1559331160406 ""}
{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MAC_RAM_PACKING" "" "Finished moving registers into I/O cells, DSP blocks, and RAM blocks" {  } {  } 1 176247 "Finished moving registers into I/O cells, DSP blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1559331160752 ""}
{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" {  } {  } 1 176219 "No registers were packed into other blocks" 0 0 "Quartus II" 0 -1 1559331160761 ""}  } {  } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1559331160761 ""}
{ "Warning" "WCUT_CUT_UNATTACHED_ASGN" "" "Ignored locations or region assignments to the following nodes" { { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "game_on_switch " "Node \"game_on_switch\" is assigned to location or region, but does not exist in design" {  } { { "c:/altera/13.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "game_on_switch" } } } }  } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Quartus II" 0 -1 1559331161677 ""}  } {  } 0 15705 "Ignored locations or region assignments to the following nodes" 0 0 "Fitter" 0 -1 1559331161677 ""}
{ "Info" "IFSV_FITTER_PREPARATION_END" "00:00:10 " "Fitter preparation operations ending: elapsed time is 00:00:10" {  } {  } 0 11798 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1559331161678 ""}
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" {  } {  } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1559331169836 ""}
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:03 " "Fitter placement preparation operations ending: elapsed time is 00:00:03" {  } {  } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1559331172657 ""}
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" {  } {  } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1559331172674 ""}
{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" {  } {  } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1559331193243 ""}
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:21 " "Fitter placement operations ending: elapsed time is 00:00:21" {  } {  } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1559331193243 ""}
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" {  } {  } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1559331195911 ""}
{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "5 " "Router estimated average interconnect usage is 5% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "37 X22_Y23 X32_Y34 " "Router estimated peak interconnect usage is 37% of the available device resources in the region that extends from location X22_Y23 to location X32_Y34" {  } { { "loc" "" { Generic "C:/Users/Cansu/Desktop/fakequidditch/" { { 1 { 0 "Router estimated peak interconnect usage is 37% of the available device resources in the region that extends from location X22_Y23 to location X32_Y34"} { { 11 { 0 "Router estimated peak interconnect usage is 37% of the available device resources in the region that extends from location X22_Y23 to location X32_Y34"} 22 23 11 12 }  }  }  }  } }  } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1559331210230 ""}  } {  } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1559331210230 ""}
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:30 " "Fitter routing operations ending: elapsed time is 00:00:30" {  } {  } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1559331231078 ""}
{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation.  Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" {  } {  } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Quartus II" 0 -1 1559331231084 ""}  } {  } 0 170199 "The Fitter performed an Auto Fit compilation.  Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1559331231084 ""}
{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "14.42 " "Total time spent on timing analysis during the Fitter is 14.42 seconds." {  } {  } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1559331238394 ""}
{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" {  } {  } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1559331238570 ""}
{ "Warning" "WTAPI_PRELIMINARY_TIMING" "5CSEMA5F31C6 " "Timing characteristics of device 5CSEMA5F31C6 are preliminary" {  } {  } 0 334000 "Timing characteristics of device %1!s! are preliminary" 0 0 "Fitter" 0 -1 1559331238570 ""}
{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" {  } {  } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1559331244810 ""}
{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" {  } {  } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1559331244893 ""}
{ "Warning" "WTAPI_PRELIMINARY_TIMING" "5CSEMA5F31C6 " "Timing characteristics of device 5CSEMA5F31C6 are preliminary" {  } {  } 0 334000 "Timing characteristics of device %1!s! are preliminary" 0 0 "Fitter" 0 -1 1559331244893 ""}
{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" {  } {  } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1559331250618 ""}
{ "Info" "IFSV_FITTER_POST_OPERATION_END" "00:00:23 " "Fitter post-fit operations ending: elapsed time is 00:00:23" {  } {  } 0 11801 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1559331261202 ""}
{ "Warning" "WFITCC_FITCC_IGNORED_ASSIGNMENT" "" "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." {  } {  } 0 171167 "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." 0 0 "Fitter" 0 -1 1559331262087 ""}
{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Cansu/Desktop/fakequidditch/output_files/fakequidditch.fit.smsg " "Generated suppressed messages file C:/Users/Cansu/Desktop/fakequidditch/output_files/fakequidditch.fit.smsg" {  } {  } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1559331262691 ""}
{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 11 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 11 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "5961 " "Peak virtual memory: 5961 megabytes" {  } {  } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1559331265603 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri May 31 22:34:25 2019 " "Processing ended: Fri May 31 22:34:25 2019" {  } {  } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1559331265603 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:01:56 " "Elapsed time: 00:01:56" {  } {  } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1559331265603 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:02:35 " "Total CPU time (on all processors): 00:02:35" {  } {  } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1559331265603 ""}  } {  } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1559331265603 ""}
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" {  } {  } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1559331267229 ""}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition " "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" {  } {  } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1559331267230 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri May 31 22:34:27 2019 " "Processing started: Fri May 31 22:34:27 2019" {  } {  } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1559331267230 ""}  } {  } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1559331267230 ""}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off fakequidditch -c fakequidditch " "Command: quartus_asm --read_settings_files=off --write_settings_files=off fakequidditch -c fakequidditch" {  } {  } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1559331267230 ""}
{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" {  } {  } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1559331277146 ""}
{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4862 " "Peak virtual memory: 4862 megabytes" {  } {  } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1559331280099 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri May 31 22:34:40 2019 " "Processing ended: Fri May 31 22:34:40 2019" {  } {  } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1559331280099 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:13 " "Elapsed time: 00:00:13" {  } {  } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1559331280099 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:13 " "Total CPU time (on all processors): 00:00:13" {  } {  } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1559331280099 ""}  } {  } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1559331280099 ""}
{ "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" {  } {  } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1559331280927 ""}
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" {  } {  } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1559331281866 ""}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition " "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" {  } {  } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1559331281866 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri May 31 22:34:41 2019 " "Processing started: Fri May 31 22:34:41 2019" {  } {  } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1559331281866 ""}  } {  } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1559331281866 ""}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta fakequidditch -c fakequidditch " "Command: quartus_sta fakequidditch -c fakequidditch" {  } {  } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1559331281866 ""}
{ "Info" "0" "" "qsta_default_script.tcl version: #1" {  } {  } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1559331281938 ""}
{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS_MORE_LOGICAL" "2 2 4 " "Parallel Compilation has detected 4 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 2 of the 2 physical processors detected instead." {  } {  } 0 11104 "Parallel Compilation has detected %3!i! hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use %1!i! of the %2!i! physical processors detected instead." 0 0 "Quartus II" 0 -1 1559331283209 ""}
{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" {  } {  } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1559331283262 ""}
{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" {  } {  } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1559331283262 ""}
{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "fakequidditch.sdc " "Synopsys Design Constraints File file not found: 'fakequidditch.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." {  } {  } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1559331285412 ""}
{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" {  } {  } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1559331285412 ""}
{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name clk clk " "create_clock -period 1.000 -name clk clk" {  } {  } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1559331285431 ""}  } {  } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1559331285431 ""}
{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" {  } {  } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Quartus II" 0 -1 1559331285492 ""}
{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties." {  } {  } 0 332123 "%1!s!" 0 0 "Quartus II" 0 -1 1559331285492 ""}
{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" {  } {  } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1559331285493 ""}
{ "Info" "0" "" "Analyzing Slow 1100mV 85C Model" {  } {  } 0 0 "Analyzing Slow 1100mV 85C Model" 0 0 "Quartus II" 0 0 1559331285505 ""}
{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." {  } {  } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." 0 0 "Quartus II" 0 -1 1559331286205 ""}  } {  } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1559331286205 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "setup -16.513 " "Worst-case setup slack is -16.513" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1559331286211 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1559331286211 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "  -16.513           -5287.402 clk  " "  -16.513           -5287.402 clk " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1559331286211 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1559331286211 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.360 " "Worst-case hold slack is 0.360" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1559331286375 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1559331286375 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    0.360               0.000 clk  " "    0.360               0.000 clk " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1559331286375 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1559331286375 ""}
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" {  } {  } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1559331286383 ""}
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" {  } {  } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1559331286400 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -0.394 " "Worst-case minimum pulse width slack is -0.394" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1559331286484 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1559331286484 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.394            -414.835 clk  " "   -0.394            -414.835 clk " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1559331286484 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1559331286484 ""}
{ "Info" "0" "" "Analyzing Slow 1100mV 0C Model" {  } {  } 0 0 "Analyzing Slow 1100mV 0C Model" 0 0 "Quartus II" 0 0 1559331286789 ""}
{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" {  } {  } 0 334003 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1559331286851 ""}
{ "Warning" "WTAPI_PRELIMINARY_TIMING" "5CSEMA5F31C6 " "Timing characteristics of device 5CSEMA5F31C6 are preliminary" {  } {  } 0 334000 "Timing characteristics of device %1!s! are preliminary" 0 0 "Quartus II" 0 -1 1559331286852 ""}
{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" {  } {  } 0 334004 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1559331293263 ""}
{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties." {  } {  } 0 332123 "%1!s!" 0 0 "Quartus II" 0 -1 1559331294054 ""}
{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." {  } {  } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." 0 0 "Quartus II" 0 -1 1559331294371 ""}  } {  } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1559331294371 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "setup -16.862 " "Worst-case setup slack is -16.862" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1559331294378 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1559331294378 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "  -16.862           -5297.884 clk  " "  -16.862           -5297.884 clk " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1559331294378 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1559331294378 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.381 " "Worst-case hold slack is 0.381" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1559331294535 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1559331294535 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    0.381               0.000 clk  " "    0.381               0.000 clk " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1559331294535 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1559331294535 ""}
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" {  } {  } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1559331294548 ""}
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" {  } {  } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1559331294562 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -0.394 " "Worst-case minimum pulse width slack is -0.394" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1559331294574 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1559331294574 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.394            -450.515 clk  " "   -0.394            -450.515 clk " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1559331294574 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1559331294574 ""}
{ "Info" "0" "" "Analyzing Fast 1100mV 85C Model" {  } {  } 0 0 "Analyzing Fast 1100mV 85C Model" 0 0 "Quartus II" 0 0 1559331294634 ""}
{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" {  } {  } 0 334003 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1559331294780 ""}
{ "Warning" "WTAPI_PRELIMINARY_TIMING" "5CSEMA5F31C6 " "Timing characteristics of device 5CSEMA5F31C6 are preliminary" {  } {  } 0 334000 "Timing characteristics of device %1!s! are preliminary" 0 0 "Quartus II" 0 -1 1559331294780 ""}
{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" {  } {  } 0 334004 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1559331301384 ""}
{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties." {  } {  } 0 332123 "%1!s!" 0 0 "Quartus II" 0 -1 1559331302184 ""}
{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." {  } {  } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." 0 0 "Quartus II" 0 -1 1559331302288 ""}  } {  } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1559331302288 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "setup -9.240 " "Worst-case setup slack is -9.240" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1559331302320 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1559331302320 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -9.240           -2798.581 clk  " "   -9.240           -2798.581 clk " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1559331302320 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1559331302320 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.178 " "Worst-case hold slack is 0.178" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1559331302483 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1559331302483 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    0.178               0.000 clk  " "    0.178               0.000 clk " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1559331302483 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1559331302483 ""}
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" {  } {  } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1559331302491 ""}
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" {  } {  } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1559331302497 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -0.093 " "Worst-case minimum pulse width slack is -0.093" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1559331302503 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1559331302503 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.093             -69.196 clk  " "   -0.093             -69.196 clk " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1559331302503 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1559331302503 ""}
{ "Info" "0" "" "Analyzing Fast 1100mV 0C Model" {  } {  } 0 0 "Analyzing Fast 1100mV 0C Model" 0 0 "Quartus II" 0 0 1559331302544 ""}
{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties." {  } {  } 0 332123 "%1!s!" 0 0 "Quartus II" 0 -1 1559331303527 ""}
{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." {  } {  } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." 0 0 "Quartus II" 0 -1 1559331303630 ""}  } {  } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1559331303630 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "setup -8.658 " "Worst-case setup slack is -8.658" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1559331303636 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1559331303636 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -8.658           -2559.232 clk  " "   -8.658           -2559.232 clk " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1559331303636 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1559331303636 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.169 " "Worst-case hold slack is 0.169" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1559331303734 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1559331303734 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    0.169               0.000 clk  " "    0.169               0.000 clk " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1559331303734 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1559331303734 ""}
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" {  } {  } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1559331303741 ""}
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" {  } {  } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1559331303747 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -0.092 " "Worst-case minimum pulse width slack is -0.092" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1559331303754 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1559331303754 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.092             -68.872 clk  " "   -0.092             -68.872 clk " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1559331303754 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1559331303754 ""}
{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" {  } {  } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1559331305275 ""}
{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" {  } {  } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1559331305275 ""}
{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 7 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 7 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "5138 " "Peak virtual memory: 5138 megabytes" {  } {  } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1559331305737 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri May 31 22:35:05 2019 " "Processing ended: Fri May 31 22:35:05 2019" {  } {  } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1559331305737 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:24 " "Elapsed time: 00:00:24" {  } {  } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1559331305737 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:36 " "Total CPU time (on all processors): 00:00:36" {  } {  } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1559331305737 ""}  } {  } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1559331305737 ""}
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" {  } {  } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1559331307838 ""}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus II 64-Bit " "Running Quartus II 64-Bit EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition " "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" {  } {  } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1559331307839 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri May 31 22:35:07 2019 " "Processing started: Fri May 31 22:35:07 2019" {  } {  } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1559331307839 ""}  } {  } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1559331307839 ""}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=off --write_settings_files=off fakequidditch -c fakequidditch " "Command: quartus_eda --read_settings_files=off --write_settings_files=off fakequidditch -c fakequidditch" {  } {  } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1559331307839 ""}
{ "Info" "IWSC_DONE_HDL_GENERATION" "fakequidditch.vo C:/Users/Cansu/Desktop/fakequidditch/simulation/qsim// simulation " "Generated file fakequidditch.vo in folder \"C:/Users/Cansu/Desktop/fakequidditch/simulation/qsim//\" for EDA simulation tool" {  } {  } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "Quartus II" 0 -1 1559331310838 ""}
{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit EDA Netlist Writer was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4760 " "Peak virtual memory: 4760 megabytes" {  } {  } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1559331311094 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri May 31 22:35:11 2019 " "Processing ended: Fri May 31 22:35:11 2019" {  } {  } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1559331311094 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:04 " "Elapsed time: 00:00:04" {  } {  } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1559331311094 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" {  } {  } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1559331311094 ""}  } {  } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1559331311094 ""}
{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 217 s " "Quartus II Full Compilation was successful. 0 errors, 217 warnings" {  } {  } 0 293000 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1559331311885 ""}